Save data directly in FPGA memory

Applications, development tools, FPGA, C, WEB
Post Reply
javat15
Posts: 17
Joined: Thu Nov 03, 2016 12:15 am

Save data directly in FPGA memory

Post by javat15 » Thu Mar 30, 2017 10:12 am

Hi,

I've made a program in Verilog using HDL Coder of MathWorks to do some calculations directly in the FPGA. I obtain a result of 32 bit word length and I was wondering if I can save the result in the memory FPGA without split the data. Can I write directly in some of address of free (0x40600000-0x406FFFFF) memory space?

Post Reply
jadalnie klasyczne ekskluzywne meble wypoczynkowe do salonu ekskluzywne meble tapicerowane ekskluzywne meble do sypialni ekskluzywne meble włoskie

Who is online

Users browsing this forum: No registered users and 41 guests