Vivado question for buffered data acquisition slow ADCs

Applications, development tools, FPGA, C, WEB
Post Reply
vhd
Posts: 1
Joined: Mon Oct 30, 2017 11:56 am

Vivado question for buffered data acquisition slow ADCs

Post by vhd » Tue Nov 14, 2017 4:25 pm

Hello I'm new to Redpitaya and Xilinx FPGA, and I would like to use the 2 fast AI channels and 4 slow ADCs channels .
There is no problem for fast AI part because only 300 kHz sampling is frequency required , on the other hand I can't reliably acquire the 4 slow ADCs channels with 100 Khz sampling rate since the data from slow ADCs are not buffered and the API for C developpement is not fast enough .
One solution possible will be : add a stream fifo after xADC then use iio driver to retrieve the data . I don't know if it's doable or not , but still worth a try ... :?:
Anyway I started the project and installed Vivado 2017.2 and tried to compile the V0.94 project without modify anything .
However I got timing failed :
https://imgur.com/sUyIf9O
I think it comes from clock contraint on the xdc file .
https://github.com/RedPitaya/RedPitaya/ ... pitaya.xdc
It seems like the following signals haven't been considered as clock :
dac_clk_o
dac_clk_2x
....
However they have been defined here :
https://github.com/RedPitaya/RedPitaya/ ... aya_top.sv
And I got also these warnings with RTL analysis.
[Vivado 12-627] No clocks matched 'dac_clk_o'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":214]
[Vivado 12-627] No clocks matched 'dac_clk_2x'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":215]
[Vivado 12-627] No clocks matched 'dac_clk_2p'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":216]
[Vivado 12-627] No clocks matched 'dac_clk_1x'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":218]
[Vivado 12-627] No clocks matched 'dac_clk_2x'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":219]
[Vivado 12-627] No clocks matched 'dac_clk_2p'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":220]
[Vivado 12-627] No clocks matched 'ser_clk'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":221]
[Vivado 12-627] No clocks matched 'pdm_clk'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":222]
[Vivado 12-627] No clocks matched 'dac_clk_o'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":223]
[Vivado 12-627] No clocks matched 'dac_clk_2x'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":223]
[Vivado 12-627] No clocks matched 'dac_clk_o'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":224]
[Vivado 12-627] No clocks matched 'dac_clk_2p'. ["C:/Users/pc/Desktop/v0.94/sdc/red_pitaya.xdc":224]
Probably something is missing .
In short my questions are how these signals can be defined as clock ? Any help to compile the project or idea about buffered data acquisition slow for ADCs is highly appreciated .
Thank for reading .

Post Reply
jadalnie klasyczne ekskluzywne meble wypoczynkowe do salonu ekskluzywne meble tapicerowane ekskluzywne meble do sypialni ekskluzywne meble włoskie

Who is online

Users browsing this forum: No registered users and 16 guests