..or someone else who is familiar with this:
When I tried a "make build/fpga.bit" in the Redpitaya_ddrdump repository,
vivado gripes about system.bd version and locks it:
Code: Select all
red@pitaya:~/redpitaya/RedPitaya_ddrdump$ make build/fpga.bit
make -C FPGA
make[1]: Entering directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA'
make -C release1/fpga FPGA_TOOL=vivado fpga
make[2]: Entering directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga'
vivado -mode tcl -source $PWD/run_vivado.tcl -tclargs build
****** Vivado v2015.4 (64-bit)
**** SW Build 1412921 on Wed Nov 18 09:44:32 MST 2015
**** IP Build 1412160 on Tue Nov 17 13:47:24 MST 2015
** Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
source /home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga/run_vivado.tcl
# open_project ./vivado/red_pitaya.xpr
Scanning sources...
Finished scanning sources
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2015.4/data/ip'.
WARNING: [BD 41-1661] One or more IPs have been locked in the design 'system.bd'. Please run report_ip_status for more details and recommendations on how to fix this issue.
List of locked IPs:
system_xlconcat_0_0
system_processing_system7_0_0
# update_compile_order -fileset sources_1
# if {[lindex $argv 0] == "clean"} {
# ## clean implementation
# reset_run impl_1
#
# ## clean synthesis
# reset_run synth_1
#
# ## clean PS project configuration
# reset_target all [get_files ./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd]
# }
# if {[lindex $argv 0] == "build"} {
#
# ## export PS configuration
# generate_target all [get_files ./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd]
# open_bd_design ./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd
# export_hardware [get_files ./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd]
# close_bd_design system
#
# ## do synthesis
# launch_runs synth_1
# wait_on_run synth_1
#
# ## do implementation
# launch_runs impl_1
# wait_on_run impl_1
#
# ## make bit file
# launch_runs impl_1 -to_step write_bitstream
# wait_on_run impl_1
# }
CRITICAL WARNING: [filemgmt 20-1365] Unable to generate target(s) for the following file is locked: /home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga/vivado/red_pitaya.srcs/sources_1/bd/system/system.bd
Locked reason:
* BD design contains locked IPs. Please run report_ip_status for more details and recommendations on how to fix this issue.
List of locked IPs:
system_xlconcat_0_0
system_processing_system7_0_0
Adding component instance block -- xilinx.com:ip:processing_system7:5.3 - processing_system7_0
Adding component instance block -- xilinx.com:ip:xlconcat:1.0 - xlconcat_0
Successfully read diagram <system> from BD file <./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd>
invalid command name "export_hardware"
while executing
"export_hardware [get_files ./vivado/red_pitaya.srcs/sources_1/bd/system/system.bd]"
invoked from within
"if {[lindex $argv 0] == "build"} {
## export PS configuration
generate_target all [get_files ./vivado/red_pitaya.srcs/sources_1/bd/system/syst..."
(file "/home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga/run_vivado.tcl" line 42)
Vivado% quit
INFO: [Common 17-206] Exiting Vivado at Wed Feb 24 22:32:13 2016...
make[2]: Leaving directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga'
make -C release1/fpga FPGA_TOOL=vivado sw_package
make[2]: Entering directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga'
sed -i 's/C_SDIO_CLK_FREQ_HZ\"\ VALUE=\"50000000/C_SDIO_CLK_FREQ_HZ\"\ VALUE=\"125000000/' vivado/red_pitaya.sdk/SDK/SDK_Export/hw/system.xml
sed: can't read vivado/red_pitaya.sdk/SDK/SDK_Export/hw/system.xml: No such file or directory
make[2]: *** [hw_src_copy] Error 2
make[2]: Leaving directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA/release1/fpga'
make[1]: *** [release1/fpga/vivado/red_pitaya.sdk/SDK/SDK_Export/fsbl/Debug/fsbl.elf] Error 2
make[1]: Leaving directory `/home/red/redpitaya/RedPitaya_ddrdump/FPGA'
make: *** [build/fpga.bit] Error 2
to upgrade IPs /xlconcat_0 and /processing_system7_0_0 to newer major versions.
That wasn't such a good idea as now..
Vivado tells me: "Dectected external port difference while upgrading IP system_processing_system7_0_0".
Moreover - according to above - it seems in 2015.4 there's no longer export_hardware command..
Would it be possible to fix this ?
Best regards
pita