Trigger problems

Applications, development tools, FPGA, C, WEB
Post Reply
Scorpi
Posts: 5
Joined: Tue Jan 06, 2015 11:29 am

Trigger problems

Post by Scorpi » Fri Mar 06, 2015 6:15 pm

Hi,
I´d like to generate a signal and pick it up. I trigger with the AWG trigger, but when I start the program again every time my signal starts at a different position. I need to have it start at the same position always.
But when it runs it is every time at the same position. I try to get the signal at the beginning of it. At the moment I don’t get why every acquisition starts at different time but after the first signal it´s absolute equal at the same position.

Nils Roos
Posts: 1441
Joined: Sat Jun 07, 2014 12:49 pm
Location: Königswinter

Re: Trigger problems

Post by Nils Roos » Sat Mar 07, 2015 12:56 am

Scorpi wrote:I trigger with the AWG trigger
If I understand correctly, you are using the scope's trigger mode 8 or 9.
Could you explain in what order you program the awg and scope ? A link to your source would also help.

Scorpi
Posts: 5
Joined: Tue Jan 06, 2015 11:29 am

Re: Trigger problems

Post by Scorpi » Mon Mar 09, 2015 2:23 pm

Yes that is correct I use the mode 8. First I parse the arguments from the console then I initialize the oscilloscope and set the parameters.
These are the parameters that I used:

Code: Select all

t_params[TRIG_SRC_PARAM] = 3; // 3 is AWG trigger mode 8
t_params[TRIG_MODE_PARAM]= 1; // trig_mode:0-auto 1-normal 2-single
t_params[TRIG_EDGE_PARAM]= 0; // 0=pos 1= neg t_params[TIME_RANGE_PARAM] = 1
After this I parsing all the arguments I use.
Then I initialize the oscilloscope and set the parameters.
Now I synthesize the signal and allocate the memory for the oscilloscope right before I write the data to the FPGA and at last I acquire the data an print it to the console.


Thanks for your responses

Nils Roos
Posts: 1441
Joined: Sat Jun 07, 2014 12:49 pm
Location: Königswinter

Re: Trigger problems

Post by Nils Roos » Tue Mar 10, 2015 10:02 pm

I assume you modified the function osc_fpga_cnv_trig_source() to parse trig_source: 3 to fpga_trig_source = 8 ...
Do you reset the AWG prior to starting it the first time ?

You know, troubleshooting would be much more effective if I could just look at the complete code instead of having to guess based on vague descriptions.

Cheers
Nils

Post Reply
jadalnie klasyczne ekskluzywne meble wypoczynkowe do salonu ekskluzywne meble tapicerowane ekskluzywne meble do sypialni ekskluzywne meble włoskie

Who is online

Users browsing this forum: No registered users and 32 guests