Externally clocking ADCs

Just about everything about Red Pitaya
Post Reply
arparker
Posts: 10
Joined: Thu Jul 24, 2014 2:04 am

Externally clocking ADCs

Post by arparker » Sat Mar 14, 2015 12:52 am

I would like to interface my RP with an external analog source that requires a asymmetric clk, therefore I would need to clock both ADCs using an external clock source. My nominal clock rate would be around 25MHz +/- 4MHz. Is this possible with the current RP?

Nils Roos
Posts: 1441
Joined: Sat Jun 07, 2014 12:49 pm
Location: Königswinter

Re: Externally clocking ADCs

Post by Nils Roos » Sat Mar 14, 2015 4:21 am

Switching the ADC clock input to an external source requires a bit of soldering. Consult the LTC2145-14 datasheet for details about the requirements for the encode clock.

Some hints:
  • the ADC's clock duty cycle stabilizer is switched off, so your external clock should have a duty cycle of 50%+-5
  • the ENCode clock inputs have 0.1uF capacitors, I guess that limits you to the differential clock options since you cannot tie ENC- to ground
  • 25MHz+-4 is well within the allowed range of the ADC
  • all sample processing within the FPGA is clocked by the ADC clock, interfaces to other clock domains are equipped with clock synchronizers; still, I can't say for sure whether this will work with 1/5 of the original clock frequency

Post Reply
jadalnie klasyczne ekskluzywne meble wypoczynkowe do salonu ekskluzywne meble tapicerowane ekskluzywne meble do sypialni ekskluzywne meble włoskie

Who is online

Users browsing this forum: No registered users and 68 guests